FPGA Pong

Here is a simple Pong game my friend Denise and I made for an Intro-to-EE class way-back-when. It used an 8×6 LED array and a custom FSM to keep score and keep track of the ball and paddles. It was done entirely using schematic capture on some sort of Digilent Spartan2 board provided by our school.

pong5000

The game included 2 separate paddles (one of which you can see in the lower left corner), and a really lame speaker to play little “PONG” noises whenever the ball bounced. It was one of the first real FPGA projects I ever did.